Search found 1248 matches

by LuisR14
May 16th, 2017, 1:03 am
Forum: Everything
Topic: Vector problem
Replies: 8
Views: 3136

Re: Vector problem

yea, what chili said after noticing him hehe (had only overlooked before)
by LuisR14
May 15th, 2017, 10:00 am
Forum: Everything
Topic: Vector problem
Replies: 8
Views: 3136

Re: Vector problem

push_back just adds *new* elements to the vector, so instead just do:

Code: Select all

piece.figurice[i]->position.x = piece.destination.x;
piece.figurice[i]->position.y = piece.destination.y;
by LuisR14
May 5th, 2017, 1:34 am
Forum: Everything
Topic: 4-bit project
Replies: 23
Views: 8095

Re: 4-bit project

haha, most likely not chili's fault xD :lol:
by LuisR14
April 30th, 2017, 8:18 pm
Forum: Everything
Topic: 4-bit project
Replies: 23
Views: 8095

Re: 4-bit project

:lol:
by LuisR14
April 26th, 2017, 7:10 am
Forum: Everything
Topic: looking for critique on first game.
Replies: 7
Views: 2708

Re: looking for critique on first game.

has been available on vs2015 (don't remember if even earlier)
by LuisR14
April 17th, 2017, 6:56 am
Forum: Everything
Topic: Help please? (SOLVED)
Replies: 9
Views: 3487

Re: Help please?

i'm thinking that you should clamp after updating
by LuisR14
March 30th, 2017, 8:19 pm
Forum: Everything
Topic: Snekgame unresolved externals
Replies: 19
Views: 7010

Re: Snekgame unresolved externals

it's done according to the order in which you declare the variables in the class/struct :)
by LuisR14
March 29th, 2017, 7:20 am
Forum: Everything
Topic: Trying to get sound running without of framework help
Replies: 9
Views: 3330

Re: Trying to get sound running without of framework help

all you have to do is call Play, XAudio will continue from where it stopped :) (only extra has to be done for a full stop ;))
by LuisR14
March 26th, 2017, 5:52 am
Forum: Everything
Topic: Prime Number Generators
Replies: 14
Views: 5679

Re: Prime Number Generators

hehe yea, i'm not old, but i'm a US-born hispanic dude, so I basically went with what LatinAmerica uses xD (also because it felt natural to count hundreds, then thousands, then millions, rinse-repeat o_o)
by LuisR14
March 25th, 2017, 10:53 pm
Forum: Everything
Topic: Prime Number Generators
Replies: 14
Views: 5679

Re: Prime Number Generators

i guess i went the "long-scale" format :P (with long-scale it'd be 18 Trillion, which i deem the appropriate form, and with short-scale would be 18 Quintillion i guess)